Paper 2023/1190

REED: Chiplet-Based Scalable Hardware Accelerator for Fully Homomorphic Encryption

Aikata Aikata, University of Technology Graz, Austria
Ahmet Can Mert, University of Technology Graz, Austria
Sunmin Kwon, Samsung Advanced Institute of Technology, Suwon, Republic of Korea
Maxim Deryabin, Samsung Advanced Institute of Technology, Suwon, Republic of Korea
Sujoy Sinha Roy, University of Technology Graz, Austria
Abstract

Fully Homomorphic Encryption (FHE) has emerged as a promising technology for processing encrypted data without the need for decryption. Despite its potential, its practical implementation has faced challenges due to substantial computational overhead. To address this issue, we propose the $first$ chiplet-based FHE accelerator design `REED', which enables scalability and offers high throughput, thereby enhancing homomorphic encryption deployment in real-world scenarios. It incorporates well-known wafer yield issues during fabrication which significantly impacts production costs. In contrast to state-of-the-art approaches, we also address data exchange overhead by proposing a non-blocking inter-chiplet communication strategy. We incorporate novel pipelined Number Theoretic Transform and automorphism techniques, leveraging parallelism and providing high throughput. Experimental results demonstrate that REED 2.5D integrated circuit consumes 177 mm$^2$ chip area, 82.5 W average power in 7nm technology, and achieves an impressive speedup of up to 5,982$\times$ compared to a CPU (24-core 2$\times$Intel X5690), and 2$\times$ better energy efficiency and 50\% lower development cost than state-of-the-art ASIC accelerator. To evaluate its practical impact, we are the $first$ to benchmark an encrypted deep neural network training. Overall, this work successfully enhances the practicality and deployability of fully homomorphic encryption in real-world scenarios.

Metadata
Available format(s)
PDF
Category
Implementation
Publication info
Preprint.
Keywords
Hardware AccelerationFully Homomoprhic EncryptionChiplet-based implementationDeep Neural Network training
Contact author(s)
aikata @ iaik tugraz at
ahmet mert @ iaik tugraz at
sujoy sinharoy @ iaik tugraz at
History
2023-08-05: revised
2023-08-04: received
See all versions
Short URL
https://ia.cr/2023/1190
License
Creative Commons Attribution
CC BY

BibTeX

@misc{cryptoeprint:2023/1190,
      author = {Aikata Aikata and Ahmet Can Mert and Sunmin Kwon and Maxim Deryabin and Sujoy Sinha Roy},
      title = {REED: Chiplet-Based Scalable Hardware Accelerator for Fully Homomorphic Encryption},
      howpublished = {Cryptology ePrint Archive, Paper 2023/1190},
      year = {2023},
      note = {\url{https://eprint.iacr.org/2023/1190}},
      url = {https://eprint.iacr.org/2023/1190}
}
Note: In order to protect the privacy of readers, eprint.iacr.org does not use cookies or embedded third party content.