You are looking at a specific version 20181010:232227 of this paper. See the latest version.

Paper 2018/608

Domain-specific Accelerators for Ideal Lattice-based Public Key Protocols

Hamid Nejatollahi and Nikil Dutt and Indranil Banerjee and Rosario Cammarota

Abstract

Post Quantum Lattice-Based Cryptography (LBC) schemes are increasingly gaining attention in traditional and emerging security problems, such as encryption, digital signature, key exchange, homomorphic encryption etc, to address security needs of both short and long-lived devices — due to their foundational properties and ease of implementation. However, LBC schemes induce higher computational demand compared to classic schemes (e.g., DSA, ECDSA) for equivalent security guarantees, making domain-specific acceleration a viable option for improving security and favor early adoption of LBC schemes by the semiconductor industry. In this paper, we present a workflow to explore the design space of domain-specific accelerators for LBC schemes, to target a diverse set of host devices, from resource-constrained IoT devices to high-performance computing platforms. We present design exploration results on workloads executing NewHope and BLISSB-I schemes accelerated by our domain-specific accelerators, with respect to a baseline without acceleration. We show that achieved performance with acceleration makes the execution of NewHope and BLISSB-I comparable to classic key exchange and digital signature schemes while retaining some form of general purpose programmability. In addition to 44% and 67% improvement in energy-delay product (EDP), we enhance performance (cycles) of the sign and verify steps in BLISSB-I schemes by 24% and 47%, respectively. Performance (EDP) improvement of server and client side of the NewHope key exchange is improved by 37% and 33% (52% and 48%), demonstrating the utility of the design space exploration framework.

Note: Update the author names

Metadata
Available format(s)
PDF
Publication info
Preprint. MINOR revision.
Keywords
Public Key CryptographyPost-quantum CryptographyLattice-based CryptographyIdeal LatticesKey ExchangeDigital SignatureSystem on ChipDomain Specific AccelerationCache Architecture
Contact author(s)
hnejatol @ uci edu
History
2018-10-10: last of 4 revisions
2018-06-22: received
See all versions
Short URL
https://ia.cr/2018/608
License
Creative Commons Attribution
CC BY
Note: In order to protect the privacy of readers, eprint.iacr.org does not use cookies or embedded third party content.